Graduation Year

2012

Document Type

Thesis

Degree

M.S.M.E.

Degree Granting Department

Mechanical Engineering

Major Professor

Ashok Kumar

Co-Major Professor

Rajiv Dubey

Keywords

CVD, Metrology, Semiconductor Process, Slurry, Thin-Films Processing

Abstract

In the modern semiconductor manufacturing processes, chemical mechanical planarization (CMP) has attained important processing step because of its ability to provide global planarization. CMP is the planarization technique which is used for the removal of excess material, as left over from the previous processing steps. In addition, CMP offers a uniform surface that is essential for subsequent processing steps, especially for the high resolution photolithography processes. In simpler notation, CMP is a process where a chemical reaction enhances in obtaining a planar surface through removal of the mechanical materials from a wafer.

In this study, CMP performance of three electronic materials was investigated. Chemical vapor deposited (CVD) diamond films, as a first materials, was fabricated using hot-filament chemical vapor deposition technique (HFCVD). The synthesized microcrystalline diamond (MCD) films were characterized using Raman Spectroscopy, Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), and X-ray Diffraction (XRD). The CMP performance of the MCD and nanocrystalline diamond (NCD) synthesized in Nano Materials Research Laboratory (NMRL) were investigated by using commercial slurry procured by Logitech Inc. U.K. The post-CMP characterizations of diamond films were performed by AFM in order to investigate surface roughness. The result showed the significant reduction the surface roughness of MCD films (37 nm to 15 nm) and NCD films (18 nm to 12 nm).

In addition, the CMP performance of the silicon dioxide was investigated in this research work. The novel nanodiamond-polymer based slurry was also developed by co-polymerization of N-isopropylacrylamide (NIPAM) and N,N'-methylenebisacrylamide, 3-(trimethoxysilyl) propyl methacrylate (MPS). The synthesized slurry was characterized by Transmission Electron Microscopy (TEM) for observing the dispersion of diamond particles in the polymer matrix. The investigation of silicon dioxide was carried out using conventional ceria based slurry and novel nanodiamond-polymer based slurry. The results showed excellent surface finish at the minor expense of material removal rate with nanodiamond-polymer based slurry. Also, the coefficient of friction of friction was significantly reduced by using novel nanodiamond polymer based slurry.

Lastly, CMP behavior of copper wafer was examined under different polishing conditions. The polishing was carried out using the commercial slurry procured from Cabot Microelectronics Inc., U.S. The copper wafers were characterized by AFM in order to analyze surface roughness. The results showed the reduction in average surface roughness occurred from 4.7 nm to 1.7 nm. This range of average surface roughness meets the demands of modern semiconductor industries.

Share

COinS